windowsでiverilog その97


概要 

windowsでiverilogやってみた。
vcdを、生成してみた。

写真

生成されたvcd

$date
  Mon, 29 Mar 2021 08:27:31 GMT
$end
$version
  plunker
$end
$timescale
  1s
$end
$scope module test $end
$var reg 1 ! a $end
$upscope $end
$enddefinitions $end
#0
$dumpvars
x!
$end
#1
0!
#2
1!
#3
0!
#4
1!
#5
0!
#6
1!
#7
0!
#8
1!
#9
0!
#10
1!
#11
0!
#12
1!
#13
0!
#14
1!
#15
0!
#16
1!
#17
0!
#18
0!
#19
0!
#20
0!
#21
0!
#22
0!
#23
0!
#24
1!
#25
0!
#26
1!
#27
0!
#28
1!
#29
0!
#30
1!
#31
0!
#32
1!
#33
0!
#34
1!
#35
0!
#36
1!
#37
0!
#38
1!
#39
0!
#40
0!
#41
0!
#42
0!
#43
0!
#44
0!
#45
0!
#46
1!
#47
0!
#48
1!
#49
0!
#50
1!
#51
0!
#52
1!
#53
0!
#54
1!
#55
0!
#56
1!
#57
0!
#58
1!
#59
0!
#60
1!
#61
0!
#62
0!
#63
0!
#64
0!
#65
0!
#66
0!

成果物

以上。