vistaでquartus その33


概要

vistaでquartusやってみた。
cpu作ってみた。
シミュレータで実行。
アセンブラでコンパイル。
実機で実行。

ソース

1-99を表示。

  push  1
  set  i
loop:
  get  i
  push  99
  >
pass:
  jz  pass 
  push  32
  out
  get  i
  push  10
  /
  push  48
  +
  out
  get  i
  push  10
  %
  push  48
  +
  out
  get  i
  push  1
  +
  set  i
  jmp loop
i:
  end

シミュレータで実行。

アセンブラでコンパイル。

実機で実行。

以上。