windowsでiverilog その46


概要

windowsでiverilogやってみた。
polyphonyでcpu書いてみる。
アセンブラでfizzbuzz書いてみる。
ちなみに、除算が使えないので、一苦労。

サンプルコード

  r0set  0
  r0save 0
  r0save 1
  r0save 2
label_20:
  r0load  2
  r1set  1
  r0 + r1
  r0save  2
  r1set  9
  r0 < r1
  if label_32
  r0load  1
  r1set  1
  r0 + r1
  r0save  1
  r0set  0
  r0save  2
label_32:    
  r0load  0
  r1set  1
  r0 + r1
  r0save 0
  r0load  0
  r1set  100
  r0 < r1
  if label_255
  r0load  0
  r1set  15
  r0 % r1
  if label_120
  r0load  0
  r1set  5
  r0 % r1
  if label_140
  r0load  0
  r1set  3
  r0 % r1
  if label_160
  r0load  1
  r0out
  r0load  2
  r0out
  jp label_20
label_120:
  r0set  'f'
  r0out 
  r0set  'i'
  r0out
  r0set  'z'
  r0out
  r0set  'z'
  r0out
  r0set  'b'
  r0out
  r0set  'u'
  r0out
  r0set  'z'
  r0out
  r0set  'z'
  r0out
  r0set  ' '
  r0out
  jp label_20
label_140:
  r0set  'b'
  r0out
  r0set  'u'
  r0out
  r0set  'z'
  r0out
  r0set  'z'
  r0out
  r0set  ' '
  r0out
  jp label_20
label_160:
  r0set  'f'
  r0out
  r0set  'i'
  r0out
  r0set  'z'
  r0out
  r0set  'z'
  r0out
  r0set  ' '
  r0out
  jp label_20
label_255:
  end


以上。